中图分类
执行
    中文(共0篇) 外文(共29篇)
    排序:
    导出 保存至文件
    摘要 : In this paper, we present an optimization flow for monolithic 3D ICs called Pin-3D Optimizer. Compared with the state-of-the-art RTL-to-GDS flows that rely on ad-hoc technology file tweaks and RC scaling, Pin-3D offers a streamlin... 展开

    [会议]   Anthony Agnesina   Kyungwook Chang   Sung Kyu Lim        IEEE/ACM International Conference on Computer Aided Design        2020年      共 9 页
    摘要 : The quality of placement is essential in the physical design flow. To achieve PPA goals, a human engineer typically spends a considerable amount of time tuning the multiple settings of a commercial placer (e.g. maximum density, co... 展开

    [会议]   Anthony Agnesina   Kyungwook Chang   Sung Kyu Lim        IEEE/ACM International Conference On Computer Aided Design        2020年      共 9 页
    摘要 : The quality of placement is essential in the physical design flow. To achieve PPA goals, a human engineer typically spends a considerable amount of time tuning the multiple settings of a commercial placer (e.g. maximum density, co... 展开
    关键词 : Tools   Optimization   Feature extraction   Tuning   Clocks   Logic gates   Timing    

    摘要 : In this paper, we present an optimization flow for monolithic 3D ICs called Pin-3D Optimizer. Compared with the state-of-the-art RTL-to-GDS flows that rely on ad-hoc technology file tweaks and RC scaling, Pin-3D offers a streamlin... 展开

    摘要 : Monolithic 3D IC (M3D) can continue to improve power, performance, area and cost beyond traditional Moore's law scaling limitations by leveraging the third-dimension and fine-grained monolithic inter-tier vias (MIVs). Several rece... 展开

    摘要 : Monolithic 3D IC (M3D) can continue to improve power, performance, area and cost beyond traditional Moore's law scaling limitations by leveraging the third-dimension and fine-grained monolithic inter-tier vias (MIVs). Several rece... 展开

    摘要 : In this paper, we present a comprehensive study of full-chip power, performance, and area metric for monolithic 3D (M3D) IC designs at the 7nm technology node. We investigate the benefits of M3D designs using our predictive 7nm Fi... 展开
    关键词 : M3D   Monolithic   3DIC   FinFET   7nm  

    摘要 : In this paper, we propose a coarse-grained reconfigurable architecture, which supports both integer type application domain and floating-point type application domain. Our coarse-grained reconfigurable architecture has an 8x8 arra... 展开

    摘要 : In this paper, we propose a coarse-grained reconfigurable architecture, which supports both integer type application domain and floating-point type application domain. Our coarse-grained reconfigurable architecture has an 8x8 arra... 展开

    摘要 : In this paper, we propose a coarse-grained reconfigurable architecture, which supports both integer type application domain and floating-point type application domain. Our coarse-grained reconfigurable architecture has an 8x8 arra... 展开

    研究趋势
    相关热图
    学科分类